Search

Search results

  1. Digital SimCode Reference

    ... < output >   Name of/variable index to the output pin. < conditional exp > ... output pin name or by an integer variable that contains the index of an output pin. Pin names and variables cannot be mixed in the same ...

    admin - 03/04/2014 - 00:51

  2. VHDL Synthesis Reference

    ... with an array the 'high attribute has a value of the array index: type my_array is array (0 to 99) of boolean; variable ... The alternate naming convention is "NameNumber" with no index delimiter character so that in the port names of IN_BUF_3 and OUT_BUF_3 ...

    admin - 11/06/2013 - 09:09

  3. WSM API Design Objects

    ... Field can be used for any purpose - as a tag property, as an index property or as a flag to denote something. See also IDMObject ... Description The function returns the image index depending on what type of object the image represents. See also ...

    rob.evans@altium.com - 02/15/2017 - 15:10

  4. Mismatched Bus-Section Index Ordering

    phil.loughhead@... - 02/20/2014 - 18:34

  5. Mismatched Generics On Bus - First Index

    phil.loughhead@... - 02/20/2014 - 18:34

  6. Mismatched Generics On Bus - Second Index

    phil.loughhead@... - 02/20/2014 - 18:34

  7. Mismatched Bus-Section Index Ordering

    admin - 09/13/2017 - 15:32

  8. Mismatched Generics On Bus - First Index

    admin - 09/13/2017 - 15:32

  9. Mismatched Generics On Bus - Second Index

    admin - 09/13/2017 - 15:32

  10. EnableBasic

    ... myArray(i1, i2, i3, ...) ...where; i1 is the index number of the element in the first dimension i2 is the index number of the element in the second dimension, etc. The Lower Bound ...

    admin - 02/15/2017 - 15:10

Pages

You are reporting an issue with the following selected text and/or image within the active document: